Morrissey Technology

Loading

Good News from Intel: Panther Lake Processors Are Ready to Show Off

Intel Panther Lake Processors

Good News from Intel: Panther Lake Processors Are Ready to Show Off

Morrissey Technology – Behind the various problems that have hit Intel, it turns out there is still good news from the company that makes the processor. The good news is regarding the next generation of processors codenamed Panther Lake and Clearwater Forest, which are made with the 18A process node. According to Intel SVP and GM Foundry Services Kevin O’Buckley, the Panther Lake processor has been successfully tested and booted into the operating system.

By successfully booting the operating system without additional configuration or modification, Panther Lake and Clearwater Forest clearly demonstrate the health of Intel 18A – Intel’s leading process technology, which is expected to return Intel to the ranks of leaders in process technologies by 2025.

Apart from that, Panther Lake DDR memory performance can operate at the targeted frequency. Meanwhile, Clearwater Forest, which is the archetype of future CPU and AI chips, combines RibbonFET, PowerVia, and Foveros Direct 3D for higher density and power handling.

Clearwater Forest is also a key product for Intel 3-T base-die technology. By leveraging Intel Foundry’s foundry system approach, these two products are expected to provide significant improvements in performance per watt, transistor density, and cell utilization.

“We are pioneering foundry system technologies for the AI ​​era and delivering a complete set of innovations that are important for the next generation of Intel products and our foundry customers. We are encouraged by our progress and working closely with customers to bring Intel 18A to market in 2025,” said O ‘Buckley.

In July, Intel released the 18A Process Design Kit (PDK) 1.0, a design tool that allows foundry customers to leverage the capabilities of the RibbonFET gate-all-around transistor architecture and PowerVia backside power delivery in their designs on the Intel 18A. Electronic design automation (EDA) and intellectual property (IP) partners update their offerings so customers can begin their final production designs.

This achievement shows that Intel Foundry is the first to successfully implement RibbonFET gate-all-around transistors and PowerVia backside power technology for foundry customers. Through the EDA ecosystem and IP tools and process flows, RibbonFET and PowerVia are innovative advances brought by Intel Foundry to all customers through Intel 18A.

Intel partners gained access to Intel 18A PDK 1.0 last month, EDA and IP partners updated their tools and design pipeline so external foundry customers can start designing their Intel 18A chips. This is an important milestone for Intel’s foundry business.

“Cadence’s strategic collaboration with Intel Foundry has helped accelerate our mutual customers’ innovation by providing access to industry-leading EDA solutions and IP optimized for Intel 18A,” said Tom Beckley, senior vice president and general manager, Custom IC & PCB Group at Cadence.

https://wvaaa.com/

https://www.htca.org/

Tinggalkan Balasan

Alamat email Anda tidak akan dipublikasikan. Ruas yang wajib ditandai *